1. <tt id="5hhch"><source id="5hhch"></source></tt>
    1. <xmp id="5hhch"></xmp>

  2. <xmp id="5hhch"><rt id="5hhch"></rt></xmp>

    <rp id="5hhch"></rp>
        <dfn id="5hhch"></dfn>

      1. 使用EDA軟件進(jìn)行電子信息類(lèi)課程教學(xué)

        時(shí)間:2020-08-08 19:55:29 研究生論文 我要投稿

        使用EDA軟件進(jìn)行電子信息類(lèi)課程教學(xué)

          摘要:本丈介紹了EDA軟件在電子信息類(lèi)課程中的應(yīng)用: 系統(tǒng)仿真和工程實(shí)踐。對(duì)EDA軟件在電子信良類(lèi)課程中的輔助教學(xué)功能進(jìn)行了研究,同時(shí)片電子信息課程的教學(xué)改革作了探討。

        使用EDA軟件進(jìn)行電子信息類(lèi)課程教學(xué)

          關(guān)鍵詞:EDA EWB MatLab 'Svstem View

          1、電子信息類(lèi)課程與EDA軟件的盆要性

          隨著社會(huì)的不斷發(fā)展,對(duì)電子信息類(lèi)畢業(yè)生的需求越來(lái)越大,許多理工科學(xué)校都開(kāi)設(shè)了電子信息類(lèi)相關(guān)系( 專(zhuān)業(yè)) 。電子信息類(lèi)專(zhuān)業(yè)是電子技術(shù)、通信工程、計(jì)算機(jī)科學(xué)等專(zhuān)業(yè)的統(tǒng)稱(chēng),是當(dāng)今高校的熱門(mén)專(zhuān)業(yè)。電子信息類(lèi)專(zhuān)業(yè)的基礎(chǔ)課程包含《電路分析》,《模擬電路》,《數(shù)字電路》,《信號(hào)與系統(tǒng)》,( 通信原理》,《數(shù)字信號(hào)處理》等。

          EDA(Electro nic Design Automation,電子設(shè)計(jì)自動(dòng)化)技術(shù)是現(xiàn)代電子工程領(lǐng)域的一門(mén)新技術(shù)。它能提供了基于計(jì)算機(jī)和信息技術(shù)的電路系統(tǒng)設(shè)計(jì)方法。EDA技術(shù)的發(fā)展和推廣,極大地影響著電子信息類(lèi)課程的教學(xué)思想、教學(xué)方法、教學(xué)內(nèi)容和培養(yǎng)目標(biāo)。目前市面上有許多EDA軟件,他們雖都不是專(zhuān)為教學(xué)而設(shè)計(jì)開(kāi)發(fā)的,但是在教學(xué)中我們可以使用它為電子信息理論教學(xué)服務(wù)。EDA軟件具有圖形直觀,仿真準(zhǔn)確等優(yōu)點(diǎn)。

          隨著高校教學(xué)改革的進(jìn)行,特別是隨著學(xué)分制的推廣,每門(mén)課的教學(xué)時(shí)數(shù)大大壓縮,課時(shí)資源緊張和經(jīng)費(fèi)不足是每個(gè)學(xué)校教學(xué)改革面臨的一大難題。EDA仿真實(shí)驗(yàn)教學(xué)彌補(bǔ)了傳統(tǒng)的硬件實(shí)驗(yàn)的不足,同時(shí)提高了電子信息類(lèi)教學(xué)技術(shù)檔次和教學(xué)本身的趣味性,節(jié)省了教學(xué)經(jīng)費(fèi)和教學(xué)時(shí)間,因此將EDA仿真作為實(shí)驗(yàn)教學(xué)對(duì)等的實(shí)驗(yàn)項(xiàng)目列人教學(xué)計(jì)劃是一個(gè)很好的實(shí)驗(yàn)教學(xué)解決方案。

          2、甚于EDA軟件的電子信息類(lèi)課程教學(xué)研究

          基于EDA軟件的電子信息類(lèi)基礎(chǔ)課程教學(xué)包括兩個(gè)方面: 系統(tǒng)仿真與工程實(shí)踐。

          2 1 系統(tǒng)仿真系統(tǒng)仿真是根據(jù)被研究的真實(shí)系統(tǒng)的數(shù)學(xué)模型,結(jié)合所用的計(jì)算機(jī)建立仿真模型,然后在計(jì)算機(jī)上計(jì)算、分析、研究,從而獲得真實(shí)系統(tǒng)的定量關(guān)系,從而加深對(duì)真實(shí)系統(tǒng)的認(rèn)識(shí)和理解,為理論教學(xué)提供所需的信息、數(shù)據(jù)或資料。

          EDA仿真技術(shù)是隨著計(jì)算機(jī)技術(shù)發(fā)展起來(lái)的一門(mén)科學(xué)技術(shù)。EDA技術(shù)所采用的EDA軟件較多但各有特色,任何一門(mén)課程的教學(xué)都不能由一個(gè)軟件來(lái)包羅,應(yīng)該區(qū)別對(duì)待使用,選擇使用。EDA軟件作為課程仿真教學(xué)和實(shí)驗(yàn)的一種輔助手段,減少了板書(shū)時(shí)間,顯示直觀,修改編輯參數(shù)方便,是現(xiàn)代教學(xué)的重要組成部分。表1是作者為電子信息類(lèi)基礎(chǔ)課程推薦使用的EDA軟件。

          EWB是Electro nic Workbench軟件的簡(jiǎn)稱(chēng),是基于元件級(jí)的電路設(shè)計(jì)和仿真軟件,從6.0 版本開(kāi)始,該軟件的名稱(chēng)改為Multis im。它的主要優(yōu)點(diǎn)是容易學(xué)習(xí)操作簡(jiǎn)單,顯示直觀,特別適用于剛熟悉使用計(jì)算機(jī)的低年級(jí)學(xué)生學(xué)習(xí)使用和理論教師的課程教學(xué)。從目前使用的情況來(lái)看,主要用于( 電路分析》,《模擬電路》,《數(shù)字電路》的教學(xué)和實(shí)際實(shí)驗(yàn)的仿真驗(yàn)證實(shí)驗(yàn)。在大多數(shù)學(xué)校的教學(xué)計(jì)劃中,《電路分析》開(kāi)設(shè)較早,教學(xué)時(shí)首先要讓學(xué)生學(xué)習(xí)使用EWB軟件,同時(shí)選擇部分實(shí)驗(yàn)進(jìn)行驗(yàn)證性。在這之中重要的是學(xué)習(xí)一種仿真方法。為了將模擬電路分為廣義的.放大器和濾波器的思路,《模擬電路》的仿真可分為三個(gè)部分:基本電路性質(zhì)、幅度變換電路、頻率變換電路!稊(shù)字電路》分為時(shí)序邏輯電路和組合邏輯電路兩個(gè)部分,數(shù)字技術(shù)是當(dāng)今電子發(fā)展的主流,時(shí)序邏輯更是后續(xù)課程的重點(diǎn)。

          MATLAB軟件是MATrix Laboratory (矩陣實(shí)驗(yàn)室) 的簡(jiǎn)稱(chēng)。它作為一門(mén)語(yǔ)言,已得到越來(lái)越多師生和科研、工程技術(shù)人員的認(rèn)可。它是目前許多高校理工類(lèi)專(zhuān)業(yè)作為一門(mén)必( 選)修的重要應(yīng)用性課程。該軟件的特點(diǎn)是:強(qiáng)大的計(jì)算功能、計(jì)算結(jié)果和編程可視化及極高的編程效率。它充分利用了Windows的交互性、多任務(wù)功能和圖形功能,開(kāi)發(fā)了矩陣的智能表示方式,創(chuàng)建了一種建立在c語(yǔ)言基礎(chǔ)上的高級(jí)語(yǔ)言。將《信號(hào)與系統(tǒng)》、《數(shù)宇信號(hào)處理》的教學(xué)內(nèi)容和MATLAB語(yǔ)言緊密、有機(jī)地結(jié)合起來(lái),使學(xué)生在學(xué)習(xí)基礎(chǔ)理論知識(shí)的同時(shí)學(xué)會(huì)應(yīng)用MATLAB,在學(xué)習(xí)應(yīng)用MATLAB的同時(shí),加深對(duì)基礎(chǔ)知識(shí)的理解,增強(qiáng)學(xué)生的計(jì)算機(jī)應(yīng)用能力,從而提高教學(xué)效果。在咤信號(hào)與系統(tǒng)》中可以為學(xué)生提供了“信號(hào)分析”、“信號(hào)抽樣”、“系統(tǒng)仿真”、“系統(tǒng)特性”及“濾波器設(shè)計(jì)”五大仿真實(shí)驗(yàn),實(shí)驗(yàn)內(nèi)容由界面一一引導(dǎo),步步展開(kāi),完全利用了MATLAB軟件的特點(diǎn),操作簡(jiǎn)便、界面直觀。仿真中每一部分的信息框闡述了有關(guān)實(shí)驗(yàn)內(nèi)容的基本概念、基本性質(zhì)及實(shí)驗(yàn)步驟。演示框如同通用示波器,顯示了信號(hào)分析與系統(tǒng)設(shè)計(jì)的動(dòng)態(tài)仿真過(guò)程,給人以直觀的感受。在《字信號(hào)處理》中可實(shí)現(xiàn)信號(hào)譜分析、濾波器設(shè)計(jì)、信號(hào)的時(shí)頻分析等仿真,能夠增強(qiáng)學(xué)生對(duì)理論問(wèn)題的理解和掌握。

          System View是美國(guó)ELANIX公司研制的一個(gè)動(dòng)態(tài)系統(tǒng)設(shè)計(jì)、仿真和分析的可視化設(shè)計(jì)軟件。它既能按物理概念直接建立分析和仿真模型,又能提供直觀數(shù)學(xué)模型分析和仿真的工具是一個(gè)基于信號(hào)級(jí)的系統(tǒng)仿真軟件。它可以構(gòu)造各種復(fù)雜的模擬、數(shù)字、數(shù)模混合及多速率系統(tǒng),可用于各種線(xiàn)性、非線(xiàn)性控制系統(tǒng)的設(shè)計(jì)和仿真。尤具特色的是,可以很方便地進(jìn)行各種濾波器的設(shè)計(jì)。系統(tǒng)備有通信、輯、數(shù)字信號(hào)處理(DSP)、射頻/ 模擬、碼分多址個(gè)人通信系統(tǒng)(CDMA/ PCS) , 數(shù)宇視頻廣播(DVB)系統(tǒng)、自適應(yīng)濾波器、第三代無(wú)線(xiàn)移動(dòng)通信系統(tǒng)等專(zhuān)業(yè)庫(kù)可供選擇。它支持多速率系統(tǒng)的并行系統(tǒng),無(wú)限制分層結(jié)構(gòu),廣泛的濾彼和線(xiàn)性系統(tǒng)設(shè)計(jì),同時(shí)允許用戶(hù)使用C/C++編寫(xiě)用戶(hù)程序,作為內(nèi)建。在通信庫(kù)中,對(duì)MATLAB庫(kù)支持。在《通信原理》中,可以通過(guò)System View對(duì)其它軟件不易仿真的時(shí)域波形、眼圖、功率譜、星座圖等進(jìn)行仿真。

          系統(tǒng)仿真不僅難了理論教學(xué),同時(shí)能為學(xué)生提供一種自主學(xué)習(xí)環(huán)境。這樣可以培養(yǎng)學(xué)生的基本實(shí)驗(yàn)?zāi)芰ι罨瘜?duì)有關(guān)課程知識(shí)的理解,提高學(xué)習(xí)效率。

          2.2 工程實(shí)踐EDA是在CAD的基礎(chǔ)上發(fā)展起來(lái)的,電子信息類(lèi)專(zhuān)業(yè)是實(shí)踐性很強(qiáng)的專(zhuān)業(yè),課程教學(xué)一定要和生產(chǎn)實(shí)際結(jié)合起來(lái)。在工程中,使用較多的EDA軟件是Protel ,Matlab及M“ Plus, 筆者多年的教學(xué)經(jīng)驗(yàn)來(lái)看,電子信息類(lèi)專(zhuān)業(yè)最好在先開(kāi)設(shè)工程制圖(學(xué)習(xí)使用autoCAD軟件) 電子電路CAD課程開(kāi)設(shè)實(shí)用的Pro tel 軟件,當(dāng)然教學(xué)重點(diǎn)不在于仿真,結(jié)合《電子技能與工藝》,它的重點(diǎn)在于元器件選擇、電路布局、布線(xiàn)、制版、焊接、調(diào)試等,讓學(xué)生設(shè)計(jì)制作出正確實(shí)用的PCB電路板。為以后走向工作崗位打下堅(jiān)實(shí)的基礎(chǔ)。

          電子信息類(lèi)專(zhuān)業(yè)均開(kāi)設(shè)了EDA技術(shù),筆者認(rèn)為此部分的教學(xué)重點(diǎn)不局限于MAXPLUS軟件進(jìn)行vhdl語(yǔ)言的學(xué)習(xí),應(yīng)將硬件FPCA,CPLD結(jié)合起來(lái),同時(shí)有必要將單片機(jī)等技術(shù)結(jié)合起來(lái)聯(lián)成一個(gè)綜合系統(tǒng)o vhd)語(yǔ)言的學(xué)習(xí)主要以引導(dǎo)性教學(xué)為主,不能像C語(yǔ)言或匯編語(yǔ)言那樣遂條語(yǔ)句講授,最好是結(jié)合具體實(shí)例講解最基本的語(yǔ)句現(xiàn)象,余下內(nèi)容可在課后由學(xué)生自行完成。

          3、結(jié)束語(yǔ)

          通過(guò)EDA軟件進(jìn)行教學(xué),集中體現(xiàn)了電子信息的特征:信號(hào)十系統(tǒng)+硬件。在這之中,信號(hào)是信息的載體,系統(tǒng)是信號(hào)處理的手段,硬件是信息傳遞的基石,一切教學(xué)的起點(diǎn)和終點(diǎn)都應(yīng)回到硬件,即如何實(shí)現(xiàn)的問(wèn)題,只要把握好了這一點(diǎn),學(xué)生的動(dòng)手能力和創(chuàng)新梢神一定能得以提高。通過(guò)EDA軟件進(jìn)行軟硬結(jié)合的教學(xué),體現(xiàn)了經(jīng)典與現(xiàn)代的結(jié)合,基本理論與工程技術(shù)相結(jié)合,數(shù)字解析方法與計(jì)算機(jī)輔助方法相結(jié)合,是現(xiàn)代教育技術(shù)的一次飛躍。

          參考文獻(xiàn):

          1.李x生等。信號(hào)與電子系統(tǒng)原理及EDA仿真。中國(guó)科學(xué)技術(shù)大學(xué)出版社,200() 年3月

          2.趙世強(qiáng)等。 電子電路EDA技術(shù)。西安電子科技大學(xué)出版社,200 0年7月

          3 洛松,黃繼業(yè)。EDA技術(shù)實(shí)用教程,科學(xué)出版社,20 02 年10 月

        【使用EDA軟件進(jìn)行電子信息類(lèi)課程教學(xué)】相關(guān)文章:

        電子信息類(lèi)課程教學(xué)的論文10-20

        eda軟件有哪些11-11

        EDA軟件廠商12-06

        EDA工具軟件12-06

        EDA的IC設(shè)計(jì)軟件10-18

        EDA工具軟件分類(lèi)10-18

        EDA三大設(shè)計(jì)軟件10-18

        EDA技術(shù)軟件有哪些05-11

        常用的EDA軟件有哪些01-05

        国产高潮无套免费视频_久久九九兔免费精品6_99精品热6080YY久久_国产91久久久久久无码

        1. <tt id="5hhch"><source id="5hhch"></source></tt>
          1. <xmp id="5hhch"></xmp>

        2. <xmp id="5hhch"><rt id="5hhch"></rt></xmp>

          <rp id="5hhch"></rp>
              <dfn id="5hhch"></dfn>