1. <tt id="5hhch"><source id="5hhch"></source></tt>
    1. <xmp id="5hhch"></xmp>

  2. <xmp id="5hhch"><rt id="5hhch"></rt></xmp>

    <rp id="5hhch"></rp>
        <dfn id="5hhch"></dfn>

      1. EDA技術(shù)的概念

        時(shí)間:2020-11-13 10:43:32 EDA技術(shù)培訓(xùn) 我要投稿

        EDA技術(shù)的概念

          隨著集成電路規(guī)模的擴(kuò)大、半導(dǎo)體技術(shù)的發(fā)展,電子設(shè)計(jì)自動(dòng)化的重要性急劇增加。下面是小編整理的關(guān)于EDA技術(shù)的概念,歡迎大家參考!

          EDA技術(shù)的概念及范疇

          EDA技術(shù)是在電子CAD技術(shù)基礎(chǔ)上發(fā)展起來(lái)的計(jì)算機(jī)軟件系統(tǒng),是指以計(jì)算機(jī)為工作平臺(tái),融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理及智能化技術(shù)的最新成果,進(jìn)行電子產(chǎn)品的自動(dòng)設(shè)計(jì)。

          利用EDA工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開(kāi)始設(shè)計(jì)電子系統(tǒng),大量工作可以通過(guò)計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出IC版圖或PCB版圖的整個(gè)過(guò)程在計(jì)算機(jī)上自動(dòng)處理完成。

          現(xiàn)在對(duì)EDA的概念或范疇用得很寬。包括在機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有EDA的應(yīng)用。目前EDA 技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門(mén)廣泛使用。例如在飛機(jī)制造過(guò)程中,從設(shè)計(jì)、性能測(cè)試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。本文所指的EDA技術(shù),主要針對(duì)電子電路設(shè)計(jì)、PCB設(shè)計(jì)和IC設(shè)計(jì)。EDA 設(shè)計(jì)可分為系統(tǒng)級(jí)、電路級(jí)和物理實(shí)現(xiàn)級(jí)。

          EDA常用軟件

          EDA工具層出不窮,目前進(jìn)入我國(guó)并具有廣泛影響的EDA軟件有:EWB、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。這些工具都有較強(qiáng)的功能,一般可用于幾個(gè)方面,例如很多軟件都可以進(jìn)行電路設(shè)計(jì)與仿真,同時(shí)以可以進(jìn)行PCB自動(dòng)布局布線,可輸出多種網(wǎng)表文件與第三方軟件接口。下面按主要功能或主要應(yīng)用場(chǎng)合,分為電路設(shè)計(jì)與仿真工具、PCB設(shè)計(jì)軟件、IC設(shè)計(jì)軟件、PLD設(shè)計(jì)工具及其它EDA軟件,進(jìn)行簡(jiǎn)單介紹。

          1、電子電路設(shè)計(jì)與仿真工具

          電子電路設(shè)計(jì)與仿真工具包括SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。下面簡(jiǎn)單介紹前三個(gè)軟件。

          (1)SPICE(Simulation Program with Integrated Circuit

          Emphasis) 是由美國(guó)加州大學(xué)推出的電路分析仿真軟件,是20世紀(jì)80年代世界上應(yīng)用最廣的電路設(shè)計(jì)軟件,1998年被定為美國(guó)國(guó)家標(biāo)準(zhǔn)。1984年,美國(guó)MicroSim公司推出了基于SPICE的微機(jī)版PSPICE(Personal—SPICE),F(xiàn)在用得較多的是PSPICE6.2,可以說(shuō)在同類(lèi)產(chǎn)品中,它是功能最為強(qiáng)大的模擬和數(shù)字電路混合仿真EDA軟件,在國(guó)內(nèi)普遍使用。最新推出了PSPICE9.1版本。它可以進(jìn)行各種各樣的電路仿真、激勵(lì)建立、溫度與噪聲分析、模擬控制、波形輸出、數(shù)據(jù)輸出、并在同一窗口內(nèi)同時(shí)顯示模擬與數(shù)字的仿真結(jié)果。無(wú)論對(duì)哪種器件哪些電路進(jìn)行仿真,都可以得到精確的仿真結(jié)果,并可以自行建立元器件及元器件庫(kù)。

          (2)EWB(Electronic Workbench)軟件 是Interactive ImageTechnologies Ltd 在20世紀(jì)90年代初推出的電路仿真軟件。目前普遍使用的是EWB5.2,相對(duì)于其它EDA軟件,它是較小巧的軟件(只有16M)。但它對(duì)模數(shù)電路的混合仿真功能卻十分強(qiáng)大,幾乎100%地仿真出真實(shí)電路的結(jié)果,并且它在桌面上提供了萬(wàn)用表、示波器、信號(hào)發(fā)生器、掃頻儀、邏輯分析儀、數(shù)字信號(hào)發(fā)生器、邏輯轉(zhuǎn)換器和電壓表、電流表等儀器儀表。它的界面直觀,易學(xué)易用。它的很多功能模仿了SPICE的設(shè)計(jì),但分析功能比PSPICE稍少一些。

          (3)MATLAB產(chǎn)品族 它們的一大特性是有眾多的面向具體應(yīng)用的工具箱和仿真塊,包含了完整的函數(shù)集用來(lái)對(duì)圖像信號(hào)處理、控制系統(tǒng)設(shè)計(jì)、神經(jīng)網(wǎng)絡(luò)等特殊應(yīng)用進(jìn)行分析和設(shè)計(jì)。它具有數(shù)據(jù)采集、報(bào)告生成和MATLAB語(yǔ)言編程產(chǎn)生獨(dú)立C/C++代碼等功能。MATLAB產(chǎn)品族具有下列功能:數(shù)據(jù)分析;數(shù)值和符號(hào)計(jì)算;工程與科學(xué)繪圖;控制系統(tǒng)設(shè)計(jì);數(shù)字圖像信號(hào)處理;財(cái)務(wù)工程;建模、仿真、原型開(kāi)發(fā);應(yīng)用開(kāi)發(fā);圖形用戶界面設(shè)計(jì)等。MATLAB產(chǎn)品族被廣泛地應(yīng)用于信號(hào)與圖像處理、控制系統(tǒng)設(shè)計(jì)、通訊系統(tǒng)仿真等諸多領(lǐng)域。開(kāi)放式的結(jié)構(gòu)使MATLAB產(chǎn)品族很容易針對(duì)特定的需求進(jìn)行擴(kuò)充,從而在不斷深化對(duì)問(wèn)題的認(rèn)識(shí)同時(shí),提高自身的競(jìng)爭(zhēng)力。

          2、PCB設(shè)計(jì)軟件

          PCB(Printed—Circuit Board)設(shè)計(jì)軟件種類(lèi)很多,如

          Protel; OrCAD;Viewlogic; PowerPCB; Cadence PSD;MentorGraphices的Expedition PCB;Zuken CadStart; Winboard/Windraft/Ivex-SPICE;PCB Studio; TANGO等等。目前在我國(guó)用得最多應(yīng)屬Protel,下面僅對(duì)此軟件作一介紹。

          Protel是PROTEL公司在20世紀(jì)80年代末推出的CAD工具,是PCB設(shè)計(jì)者的首選軟件。它較早在國(guó)內(nèi)使用,普及率最高,有些高校的電路專(zhuān)業(yè)還專(zhuān)門(mén)開(kāi)設(shè)Protel課程,幾乎所在的電路公司都要用到它。早期的Protel主要作為印刷板自動(dòng)布線工具使用,現(xiàn)在普遍使用的是Protel99SE,它是個(gè)完整的全方位電路設(shè)計(jì)系統(tǒng),包含了電原理圖繪制、模擬電路與數(shù)字電路混合信號(hào)仿真、多層印刷電路板設(shè)計(jì)(包含印刷電路板自動(dòng)布局布線),可編程邏輯器件設(shè)計(jì)、圖表生成、電路表格生成、支持宏操作等功能,并具有Client/Server(客戶/服務(wù)器體系結(jié)構(gòu),同時(shí)還兼容一些其它設(shè)計(jì)軟件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多層印制線路板的自動(dòng)布線,可實(shí)現(xiàn)高密度PCB的100%布通率。Protel軟件功能強(qiáng)大、界面友好、使用方便,但它最具代表性的是電路設(shè)計(jì)和PCB設(shè)計(jì)。

          3、IC設(shè)計(jì)軟件

          IC設(shè)計(jì)工具很多,其中按市場(chǎng)所占份額排行為Cadence、Mentor Graphics和Synopsys。這三家都是ASIC設(shè)計(jì)領(lǐng)域相當(dāng)有名的軟件供應(yīng)商。其它公司的軟件相對(duì)來(lái)說(shuō)使用者較少。中國(guó)華大公司也提供ASIC設(shè)計(jì)軟件(熊貓2000);另外近來(lái)出名的Avanti公司,是原來(lái)在Cadence的幾個(gè)華人工程師創(chuàng)立的,他們的設(shè)計(jì)工具可以全面和Cadence公司的工具相抗衡,非常適用于深亞微米的IC設(shè)計(jì)。下出按用途對(duì)IC設(shè)計(jì)軟件作一些介紹。

          (1)設(shè)計(jì)輸入工具 這是任何一種EDA軟件必須具備的基本功能。像Cadence的composer,viewlogic的viewdraw,硬件描述語(yǔ)言VHDL、Verilog HDL是主要設(shè)計(jì)語(yǔ)言,許多設(shè)計(jì)輸 入工具都支持HDL。另外像Active—HDL和其它的設(shè)計(jì)輸入方法,包括原理和狀態(tài)機(jī)輸入方法,設(shè)計(jì)FPGA/CPLD的工具大都可作為IC設(shè)計(jì)的輸入手段,如Xilinx、Altera等公司提供的開(kāi)發(fā)工具,Modelsim FPGA等。

          (2)設(shè)計(jì)仿真工作 我們使用EDA工具的一個(gè)最大好處是可以驗(yàn)證設(shè)計(jì)是否正確,幾乎每個(gè)公司的EDA 產(chǎn)品都有仿真工具。Verilog—XL、NC—verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模擬電路仿真。Viewlogic的仿真器有:viewsim門(mén)級(jí)電路仿真器,speedwaveVHDL仿真器,VCS—verilog仿真器。Mentor Graphics有其子公司Model Tech 出品的VHDL和Verilog雙仿真器:Model Sim。Cadence、Synopsys用的是VSS(VHDL仿真器),F(xiàn)在的趨勢(shì)是各大EDA公司都逐漸用HDL仿真器作為電路驗(yàn)證的工具。

          (3)綜合工具 綜合工具可以把HDL變成門(mén)級(jí)網(wǎng)表。這方面Synopsys工具占有較大的優(yōu)勢(shì),它的Design Compile是作綜合的工業(yè)標(biāo)準(zhǔn),它還有另外一個(gè)產(chǎn)品叫Behavior Compiler,可以提供更高級(jí)的綜合。另外最近美國(guó)又出了一家軟件叫Ambit,說(shuō)是比Synopsys的軟件更有效,可以綜合50萬(wàn)門(mén)的電路,速度更快。今年初Ambit被Cadence公司收購(gòu),為此Cadence放棄了它原來(lái)的綜合軟件Synergy。隨著FPGA設(shè)計(jì)的規(guī)模越來(lái)越大,各EDA公司又開(kāi)發(fā)了用于FPGA設(shè)計(jì)的綜合軟件,比較有名的有:Synopsys的FPGA Express,Cadence的Synplity,Mentor的Leonardo,這三家的FPGA綜合軟件占了市場(chǎng)的絕大部分。

          (4)布局和布線 在IC設(shè)計(jì)的布局布線工具中,Cadence軟件是比較強(qiáng)的,它有很多產(chǎn)品,用于標(biāo)準(zhǔn)單元、門(mén)陣列已可實(shí)現(xiàn)交互布線。最有名的是Cadence spectra,它原來(lái)是用于PCB布線的,后來(lái)Cadence把它用來(lái)作IC的布線。其主要工具有:Cell3,Silicon Ensemble—標(biāo)準(zhǔn)單元布線器;Gate Ensemble—門(mén)陣列布線器;Design Planner—布局工具。其它各EDA軟件開(kāi)發(fā)公司也提供各自的布局布線工具。

          (5)物理驗(yàn)證工具 物理驗(yàn)證工具包括版圖設(shè)計(jì)工具、版圖驗(yàn)證工具、版圖提取工具等等。這方面Cadence也是很強(qiáng)的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。

          (6)模擬電路仿真器 前面講的仿真器主要是針對(duì)數(shù)字電路的,對(duì)于模擬電路的仿真工具,普遍使用SPICE,這是唯一的選擇。只不過(guò)是選擇不同公司的SPICE,像MiceoSim的PSPICE、Meta Soft的HSPICE等等。HSPICE現(xiàn)在被Avanti公司收購(gòu)了。在眾多的SPICE中,最好最準(zhǔn)的當(dāng)數(shù)HSPICE,作為IC設(shè)計(jì),它的模型最多,仿真的精度也最高。

          4、PLD設(shè)計(jì)工具

          PLD(Programmable Logic Device)是一種由用戶根據(jù)需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。目前主要有兩大類(lèi)型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)。它們的基本設(shè)計(jì)方法是借助于EDA軟件,用原理圖、狀態(tài)機(jī)、布爾表達(dá)式、硬件描述語(yǔ)言等方法,生成相應(yīng)的目標(biāo)文件,最后用編程器或下載電纜,由目標(biāo)器件實(shí)現(xiàn)。生產(chǎn)PLD的.廠家很多,但最有代表性的PLD廠家為Altera、Xilinx和Lattice 公司。

          PLD的開(kāi)發(fā)工具一般由器件生產(chǎn)廠家提供,但隨著器件規(guī)模的不斷增加,軟件的復(fù)雜性也隨之提高,目前由專(zhuān)門(mén)的軟件公司與器件生產(chǎn)廠家合作,推出功能強(qiáng)大的設(shè)計(jì)軟件。下面介紹主要器件生產(chǎn)廠家和開(kāi)發(fā)工具。

          (1)ALTERA 20世紀(jì)90年代以后發(fā)展很快。主要產(chǎn)品有:MAX3000/7000、FELX6K/10K、APEX20K、ACEX1K、Stratix等。其開(kāi)發(fā)工具—MAX+PLUS II是較成功的PLD開(kāi)發(fā)平臺(tái),最新又推出了Quartus II開(kāi)發(fā)軟件。Altera公司提供較多形式的設(shè)計(jì)輸入手段,綁定第三方VHDL綜合工具,如:綜合軟件FPGA Express、Leonard Spectrum,仿真軟件ModelSim。

          (2)ILINX FPGA的發(fā)明者。產(chǎn)品種類(lèi)較全,主要有;XC9500/4000、Coolrunner(XPLA3)、Spartan、Vertex等系列,其最大的Vertex—II Pro器件已達(dá)到800萬(wàn)門(mén)。開(kāi)發(fā)軟件為Foundation和ISE。通常來(lái)說(shuō),在歐洲用Xilinx的人多,在***和亞太地區(qū)用ALTERA的人多,在美國(guó)則是平分秋色。全球PLD/FPGA產(chǎn)品60%以上是由Altera和Xilinx提供的?梢灾vAltera和Xilinx共同決定了PLD技術(shù)的發(fā)展方向。

          (3)Lattice—Vantis Lattice是ISP(In—System Programmability)技術(shù)的發(fā)明者,ISP技術(shù)極大地促進(jìn)了PLD產(chǎn)品的發(fā)展,與ALTERA和XILINX相比,其開(kāi)發(fā)工具比Altera和Xilinx略遜一籌。中小規(guī)模PLD比較有特色,大規(guī)模PLD的競(jìng)爭(zhēng)力還不夠強(qiáng)(Lattice沒(méi)有基于查找表技術(shù)的大規(guī)模FPGA),1999年推出可編程模擬器件,1999年收購(gòu)Vantis(原AMD子公司),成為第三大可編程邏輯器件供應(yīng)商。2001年12月收購(gòu)Agere公司(原Lucent微電子部)的FPGA部門(mén)。主要產(chǎn)品有ispLSI2000/5000/8000,MACH4/5。

          (4)ACTEL 反熔絲(一次性燒寫(xiě))PLD的領(lǐng)導(dǎo)得,由于反熔絲PLD抗輻射、耐高低溫、功耗低、速度快,所以在軍品和宇航級(jí)上有較大優(yōu)勢(shì)。ALTERA和XILINX則一般不涉足軍品和宇航級(jí)市場(chǎng)。

          (5)Quicklogic專(zhuān)業(yè)PLD/FPGA公司,以一次性反熔絲工藝為主,在中國(guó)地區(qū)銷(xiāo)售量不大。

          (6)Lucent 主要特點(diǎn)是有不少用于通訊領(lǐng)域的專(zhuān)用IP核,但PLD/FPGA不是Lucent的主要業(yè)務(wù),在中國(guó)地區(qū)使用的人很少。

          (7)ATMEL 中小規(guī)模PLD做得不錯(cuò)。ATMEL也做了一些與Altera和Xilinx兼容的片子,但在品質(zhì)上與原廠家還是有一些差距,在高可靠性產(chǎn)品中使用較少,多用在低端產(chǎn)品上。

          (8)Clear Logic 生產(chǎn)與一些著名PLD/FPGA大公司兼容的芯片,這種芯片可將用戶的設(shè)計(jì)一次性固化,不可編程,批量生產(chǎn)時(shí)的成本較低。

          (9)WSI 生產(chǎn)PSD(單片機(jī)可編程外圍芯片)產(chǎn)品。這是一種特殊的PLD,如最新的PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP(在線編程),集成度高,主要用于配合單片機(jī)工作。

          PLD(可編程邏輯器件)是一種可以完全替代74系列及GAL、PLA的新型電路 ,只要有數(shù)字電路基礎(chǔ),會(huì)使用計(jì)算機(jī),就可以進(jìn)行PLD的開(kāi)發(fā)。PLD的在線編程能力和強(qiáng)大的開(kāi)發(fā)軟件,使工程師可以在幾天,甚至幾分鐘內(nèi)就可完成以往幾周才能完成的工作,并可將數(shù)百萬(wàn)門(mén)的復(fù)雜設(shè)計(jì)集成在一顆 芯片內(nèi)。PLD技術(shù)在發(fā)達(dá)國(guó)家已成為電子工程師必備的技術(shù)。

          5、其它EDA軟件

          (1)VHDL語(yǔ)言 超高速集成電路硬件描述語(yǔ)言(VHSIC Hardware Deseription Languagt,簡(jiǎn)稱(chēng)VHDL),是IEEE的一項(xiàng)標(biāo)準(zhǔn)設(shè)計(jì)語(yǔ)言。它源于美國(guó)國(guó)防部提出的超高速集成電路(Very High Speed Integrated Circuit,簡(jiǎn)稱(chēng)VHSIC)計(jì)劃,是ASIC設(shè)計(jì)和PLD設(shè)計(jì)的一種主要輸入工具。

          (2)Veriolg HDL 是Verilog公司推出的硬件描述語(yǔ)言,在ASIC設(shè)計(jì)方面與VHDL語(yǔ)言平分秋色。

          (3)其它EDA軟件如專(zhuān)門(mén)用于微波電路設(shè)計(jì)和電力載波工具、PCB制作和工藝流程控制等領(lǐng)域的工具,在此就不作介紹了。

        【EDA技術(shù)的概念】相關(guān)文章:

        1.EDA技術(shù)概念

        2.EDA技術(shù)主要概念

        3.EDA技術(shù)的概念與特征

        4.EDA技術(shù)的概念及范疇

        5.EDA技術(shù)的發(fā)展

        6.EDA技術(shù)簡(jiǎn)介

        7.eda技術(shù)概述

        8.eda技術(shù)的基本特點(diǎn)

        国产高潮无套免费视频_久久九九兔免费精品6_99精品热6080YY久久_国产91久久久久久无码

        1. <tt id="5hhch"><source id="5hhch"></source></tt>
          1. <xmp id="5hhch"></xmp>

        2. <xmp id="5hhch"><rt id="5hhch"></rt></xmp>

          <rp id="5hhch"></rp>
              <dfn id="5hhch"></dfn>